net/mlx4: add RSS flow rule action support
[dpdk.git] / drivers / net / mlx4 / mlx4_flow.c
index fb38179..2b60d76 100644 (file)
@@ -58,6 +58,7 @@
 #include <rte_errno.h>
 #include <rte_eth_ctrl.h>
 #include <rte_ethdev.h>
+#include <rte_ether.h>
 #include <rte_flow.h>
 #include <rte_flow_driver.h>
 #include <rte_malloc.h>
 
 /** Processor structure associated with a flow item. */
 struct mlx4_flow_proc_item {
-       /** Bit-masks corresponding to the possibilities for the item. */
-       const void *mask;
-       /**
-        * Default bit-masks to use when item->mask is not provided. When
-        * \default_mask is also NULL, the full supported bit-mask (\mask) is
-        * used instead.
-        */
-       const void *default_mask;
-       /** Bit-masks size in bytes. */
+       /** Bit-mask for fields supported by this PMD. */
+       const void *mask_support;
+       /** Bit-mask to use when @p item->mask is not provided. */
+       const void *mask_default;
+       /** Size in bytes for @p mask_support and @p mask_default. */
        const unsigned int mask_sz;
-       /**
-        * Check support for a given item.
-        *
-        * @param item[in]
-        *   Item specification.
-        * @param mask[in]
-        *   Bit-masks covering supported fields to compare with spec,
-        *   last and mask in
-        *   \item.
-        * @param size
-        *   Bit-Mask size in bytes.
-        *
-        * @return
-        *   0 on success, negative value otherwise.
-        */
-       int (*validate)(const struct rte_flow_item *item,
-                       const uint8_t *mask, unsigned int size);
-       /**
-        * Conversion function from rte_flow to NIC specific flow.
-        *
-        * @param item
-        *   rte_flow item to convert.
-        * @param default_mask
-        *   Default bit-masks to use when item->mask is not provided.
-        * @param flow
-        *   Flow rule handle to update.
-        *
-        * @return
-        *   0 on success, negative value otherwise.
-        */
-       int (*convert)(const struct rte_flow_item *item,
-                      const void *default_mask,
-                      struct rte_flow *flow);
+       /** Merge a pattern item into a flow rule handle. */
+       int (*merge)(struct rte_flow *flow,
+                    const struct rte_flow_item *item,
+                    const struct mlx4_flow_proc_item *proc,
+                    struct rte_flow_error *error);
        /** Size in bytes of the destination structure. */
        const unsigned int dst_sz;
        /** List of possible subsequent items. */
@@ -134,109 +103,254 @@ struct mlx4_drop {
 };
 
 /**
- * Convert Ethernet item to Verbs specification.
+ * Convert DPDK RSS hash fields to their Verbs equivalent.
  *
- * @param item[in]
- *   Item specification.
- * @param default_mask[in]
- *   Default bit-masks to use when item->mask is not provided.
- * @param flow[in, out]
+ * @param rss_hf
+ *   Hash fields in DPDK format (see struct rte_eth_rss_conf).
+ *
+ * @return
+ *   A valid Verbs RSS hash fields mask for mlx4 on success, (uint64_t)-1
+ *   otherwise and rte_errno is set.
+ */
+static uint64_t
+mlx4_conv_rss_hf(uint64_t rss_hf)
+{
+       enum { IPV4, IPV6, TCP, UDP, };
+       const uint64_t in[] = {
+               [IPV4] = (ETH_RSS_IPV4 |
+                         ETH_RSS_FRAG_IPV4 |
+                         ETH_RSS_NONFRAG_IPV4_TCP |
+                         ETH_RSS_NONFRAG_IPV4_UDP |
+                         ETH_RSS_NONFRAG_IPV4_OTHER),
+               [IPV6] = (ETH_RSS_IPV6 |
+                         ETH_RSS_FRAG_IPV6 |
+                         ETH_RSS_NONFRAG_IPV6_TCP |
+                         ETH_RSS_NONFRAG_IPV6_UDP |
+                         ETH_RSS_NONFRAG_IPV6_OTHER |
+                         ETH_RSS_IPV6_EX |
+                         ETH_RSS_IPV6_TCP_EX |
+                         ETH_RSS_IPV6_UDP_EX),
+               [TCP] = (ETH_RSS_NONFRAG_IPV4_TCP |
+                        ETH_RSS_NONFRAG_IPV6_TCP |
+                        ETH_RSS_IPV6_TCP_EX),
+               [UDP] = (ETH_RSS_NONFRAG_IPV4_UDP |
+                        ETH_RSS_NONFRAG_IPV6_UDP |
+                        ETH_RSS_IPV6_UDP_EX),
+       };
+       const uint64_t out[RTE_DIM(in)] = {
+               [IPV4] = IBV_RX_HASH_SRC_IPV4 | IBV_RX_HASH_DST_IPV4,
+               [IPV6] = IBV_RX_HASH_SRC_IPV6 | IBV_RX_HASH_DST_IPV6,
+               [TCP] = IBV_RX_HASH_SRC_PORT_TCP | IBV_RX_HASH_DST_PORT_TCP,
+               [UDP] = IBV_RX_HASH_SRC_PORT_UDP | IBV_RX_HASH_DST_PORT_UDP,
+       };
+       uint64_t seen = 0;
+       uint64_t conv = 0;
+       unsigned int i;
+
+       for (i = 0; i != RTE_DIM(in); ++i)
+               if (rss_hf & in[i]) {
+                       seen |= rss_hf & in[i];
+                       conv |= out[i];
+               }
+       if (!(rss_hf & ~seen))
+               return conv;
+       rte_errno = ENOTSUP;
+       return (uint64_t)-1;
+}
+
+/**
+ * Merge Ethernet pattern item into flow rule handle.
+ *
+ * Additional mlx4-specific constraints on supported fields:
+ *
+ * - No support for partial masks, except in the specific case of matching
+ *   all multicast traffic (@p spec->dst and @p mask->dst equal to
+ *   01:00:00:00:00:00).
+ * - Not providing @p item->spec or providing an empty @p mask->dst is
+ *   *only* supported if the rule doesn't specify additional matching
+ *   criteria (i.e. rule is promiscuous-like).
+ *
+ * @param[in, out] flow
  *   Flow rule handle to update.
+ * @param[in] item
+ *   Pattern item to merge.
+ * @param[in] proc
+ *   Associated item-processing object.
+ * @param[out] error
+ *   Perform verbose error reporting if not NULL.
+ *
+ * @return
+ *   0 on success, a negative errno value otherwise and rte_errno is set.
  */
 static int
-mlx4_flow_create_eth(const struct rte_flow_item *item,
-                    const void *default_mask,
-                    struct rte_flow *flow)
+mlx4_flow_merge_eth(struct rte_flow *flow,
+                   const struct rte_flow_item *item,
+                   const struct mlx4_flow_proc_item *proc,
+                   struct rte_flow_error *error)
 {
        const struct rte_flow_item_eth *spec = item->spec;
-       const struct rte_flow_item_eth *mask = item->mask;
+       const struct rte_flow_item_eth *mask =
+               spec ? (item->mask ? item->mask : proc->mask_default) : NULL;
        struct ibv_flow_spec_eth *eth;
-       const unsigned int eth_size = sizeof(struct ibv_flow_spec_eth);
+       const char *msg;
        unsigned int i;
 
+       if (!mask) {
+               flow->promisc = 1;
+       } else {
+               uint32_t sum_dst = 0;
+               uint32_t sum_src = 0;
+
+               for (i = 0; i != sizeof(mask->dst.addr_bytes); ++i) {
+                       sum_dst += mask->dst.addr_bytes[i];
+                       sum_src += mask->src.addr_bytes[i];
+               }
+               if (sum_src) {
+                       msg = "mlx4 does not support source MAC matching";
+                       goto error;
+               } else if (!sum_dst) {
+                       flow->promisc = 1;
+               } else if (sum_dst == 1 && mask->dst.addr_bytes[0] == 1) {
+                       if (!(spec->dst.addr_bytes[0] & 1)) {
+                               msg = "mlx4 does not support the explicit"
+                                       " exclusion of all multicast traffic";
+                               goto error;
+                       }
+                       flow->allmulti = 1;
+               } else if (sum_dst != (UINT8_C(0xff) * ETHER_ADDR_LEN)) {
+                       msg = "mlx4 does not support matching partial"
+                               " Ethernet fields";
+                       goto error;
+               }
+       }
+       if (!flow->ibv_attr)
+               return 0;
+       if (flow->promisc) {
+               flow->ibv_attr->type = IBV_FLOW_ATTR_ALL_DEFAULT;
+               return 0;
+       }
+       if (flow->allmulti) {
+               flow->ibv_attr->type = IBV_FLOW_ATTR_MC_DEFAULT;
+               return 0;
+       }
        ++flow->ibv_attr->num_of_specs;
-       flow->ibv_attr->priority = 2;
        eth = (void *)((uintptr_t)flow->ibv_attr + flow->ibv_attr_size);
        *eth = (struct ibv_flow_spec_eth) {
                .type = IBV_FLOW_SPEC_ETH,
-               .size = eth_size,
+               .size = sizeof(*eth),
        };
-       if (!spec) {
-               flow->ibv_attr->type = IBV_FLOW_ATTR_ALL_DEFAULT;
-               return 0;
-       }
-       if (!mask)
-               mask = default_mask;
        memcpy(eth->val.dst_mac, spec->dst.addr_bytes, ETHER_ADDR_LEN);
-       memcpy(eth->val.src_mac, spec->src.addr_bytes, ETHER_ADDR_LEN);
        memcpy(eth->mask.dst_mac, mask->dst.addr_bytes, ETHER_ADDR_LEN);
-       memcpy(eth->mask.src_mac, mask->src.addr_bytes, ETHER_ADDR_LEN);
        /* Remove unwanted bits from values. */
        for (i = 0; i < ETHER_ADDR_LEN; ++i) {
                eth->val.dst_mac[i] &= eth->mask.dst_mac[i];
-               eth->val.src_mac[i] &= eth->mask.src_mac[i];
        }
        return 0;
+error:
+       return rte_flow_error_set(error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
+                                 item, msg);
 }
 
 /**
- * Convert VLAN item to Verbs specification.
+ * Merge VLAN pattern item into flow rule handle.
  *
- * @param item[in]
- *   Item specification.
- * @param default_mask[in]
- *   Default bit-masks to use when item->mask is not provided.
- * @param flow[in, out]
+ * Additional mlx4-specific constraints on supported fields:
+ *
+ * - Matching *all* VLAN traffic by omitting @p item->spec or providing an
+ *   empty @p item->mask would also include non-VLAN traffic. Doing so is
+ *   therefore unsupported.
+ * - No support for partial masks.
+ *
+ * @param[in, out] flow
  *   Flow rule handle to update.
+ * @param[in] item
+ *   Pattern item to merge.
+ * @param[in] proc
+ *   Associated item-processing object.
+ * @param[out] error
+ *   Perform verbose error reporting if not NULL.
+ *
+ * @return
+ *   0 on success, a negative errno value otherwise and rte_errno is set.
  */
 static int
-mlx4_flow_create_vlan(const struct rte_flow_item *item,
-                     const void *default_mask,
-                     struct rte_flow *flow)
+mlx4_flow_merge_vlan(struct rte_flow *flow,
+                    const struct rte_flow_item *item,
+                    const struct mlx4_flow_proc_item *proc,
+                    struct rte_flow_error *error)
 {
        const struct rte_flow_item_vlan *spec = item->spec;
-       const struct rte_flow_item_vlan *mask = item->mask;
+       const struct rte_flow_item_vlan *mask =
+               spec ? (item->mask ? item->mask : proc->mask_default) : NULL;
        struct ibv_flow_spec_eth *eth;
-       const unsigned int eth_size = sizeof(struct ibv_flow_spec_eth);
+       const char *msg;
 
-       eth = (void *)((uintptr_t)flow->ibv_attr + flow->ibv_attr_size -
-                      eth_size);
-       if (!spec)
+       if (!mask || !mask->tci) {
+               msg = "mlx4 cannot match all VLAN traffic while excluding"
+                       " non-VLAN traffic, TCI VID must be specified";
+               goto error;
+       }
+       if (mask->tci != RTE_BE16(0x0fff)) {
+               msg = "mlx4 does not support partial TCI VID matching";
+               goto error;
+       }
+       if (!flow->ibv_attr)
                return 0;
-       if (!mask)
-               mask = default_mask;
+       eth = (void *)((uintptr_t)flow->ibv_attr + flow->ibv_attr_size -
+                      sizeof(*eth));
        eth->val.vlan_tag = spec->tci;
        eth->mask.vlan_tag = mask->tci;
        eth->val.vlan_tag &= eth->mask.vlan_tag;
        return 0;
+error:
+       return rte_flow_error_set(error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
+                                 item, msg);
 }
 
 /**
- * Convert IPv4 item to Verbs specification.
+ * Merge IPv4 pattern item into flow rule handle.
  *
- * @param item[in]
- *   Item specification.
- * @param default_mask[in]
- *   Default bit-masks to use when item->mask is not provided.
- * @param flow[in, out]
+ * Additional mlx4-specific constraints on supported fields:
+ *
+ * - No support for partial masks.
+ *
+ * @param[in, out] flow
  *   Flow rule handle to update.
+ * @param[in] item
+ *   Pattern item to merge.
+ * @param[in] proc
+ *   Associated item-processing object.
+ * @param[out] error
+ *   Perform verbose error reporting if not NULL.
+ *
+ * @return
+ *   0 on success, a negative errno value otherwise and rte_errno is set.
  */
 static int
-mlx4_flow_create_ipv4(const struct rte_flow_item *item,
-                     const void *default_mask,
-                     struct rte_flow *flow)
+mlx4_flow_merge_ipv4(struct rte_flow *flow,
+                    const struct rte_flow_item *item,
+                    const struct mlx4_flow_proc_item *proc,
+                    struct rte_flow_error *error)
 {
        const struct rte_flow_item_ipv4 *spec = item->spec;
-       const struct rte_flow_item_ipv4 *mask = item->mask;
+       const struct rte_flow_item_ipv4 *mask =
+               spec ? (item->mask ? item->mask : proc->mask_default) : NULL;
        struct ibv_flow_spec_ipv4 *ipv4;
-       unsigned int ipv4_size = sizeof(struct ibv_flow_spec_ipv4);
+       const char *msg;
 
+       if (mask &&
+           ((uint32_t)(mask->hdr.src_addr + 1) > UINT32_C(1) ||
+            (uint32_t)(mask->hdr.dst_addr + 1) > UINT32_C(1))) {
+               msg = "mlx4 does not support matching partial IPv4 fields";
+               goto error;
+       }
+       if (!flow->ibv_attr)
+               return 0;
        ++flow->ibv_attr->num_of_specs;
-       flow->ibv_attr->priority = 1;
        ipv4 = (void *)((uintptr_t)flow->ibv_attr + flow->ibv_attr_size);
        *ipv4 = (struct ibv_flow_spec_ipv4) {
                .type = IBV_FLOW_SPEC_IPV4,
-               .size = ipv4_size,
+               .size = sizeof(*ipv4),
        };
        if (!spec)
                return 0;
@@ -244,8 +358,6 @@ mlx4_flow_create_ipv4(const struct rte_flow_item *item,
                .src_ip = spec->hdr.src_addr,
                .dst_ip = spec->hdr.dst_addr,
        };
-       if (!mask)
-               mask = default_mask;
        ipv4->mask = (struct ibv_flow_ipv4_filter) {
                .src_ip = mask->hdr.src_addr,
                .dst_ip = mask->hdr.dst_addr,
@@ -254,226 +366,188 @@ mlx4_flow_create_ipv4(const struct rte_flow_item *item,
        ipv4->val.src_ip &= ipv4->mask.src_ip;
        ipv4->val.dst_ip &= ipv4->mask.dst_ip;
        return 0;
+error:
+       return rte_flow_error_set(error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
+                                 item, msg);
 }
 
 /**
- * Convert UDP item to Verbs specification.
+ * Merge UDP pattern item into flow rule handle.
  *
- * @param item[in]
- *   Item specification.
- * @param default_mask[in]
- *   Default bit-masks to use when item->mask is not provided.
- * @param flow[in, out]
+ * Additional mlx4-specific constraints on supported fields:
+ *
+ * - No support for partial masks.
+ *
+ * @param[in, out] flow
  *   Flow rule handle to update.
+ * @param[in] item
+ *   Pattern item to merge.
+ * @param[in] proc
+ *   Associated item-processing object.
+ * @param[out] error
+ *   Perform verbose error reporting if not NULL.
+ *
+ * @return
+ *   0 on success, a negative errno value otherwise and rte_errno is set.
  */
 static int
-mlx4_flow_create_udp(const struct rte_flow_item *item,
-                    const void *default_mask,
-                    struct rte_flow *flow)
+mlx4_flow_merge_udp(struct rte_flow *flow,
+                   const struct rte_flow_item *item,
+                   const struct mlx4_flow_proc_item *proc,
+                   struct rte_flow_error *error)
 {
        const struct rte_flow_item_udp *spec = item->spec;
-       const struct rte_flow_item_udp *mask = item->mask;
+       const struct rte_flow_item_udp *mask =
+               spec ? (item->mask ? item->mask : proc->mask_default) : NULL;
        struct ibv_flow_spec_tcp_udp *udp;
-       unsigned int udp_size = sizeof(struct ibv_flow_spec_tcp_udp);
+       const char *msg;
 
+       if (!mask ||
+           ((uint16_t)(mask->hdr.src_port + 1) > UINT16_C(1) ||
+            (uint16_t)(mask->hdr.dst_port + 1) > UINT16_C(1))) {
+               msg = "mlx4 does not support matching partial UDP fields";
+               goto error;
+       }
+       if (!flow->ibv_attr)
+               return 0;
        ++flow->ibv_attr->num_of_specs;
-       flow->ibv_attr->priority = 0;
        udp = (void *)((uintptr_t)flow->ibv_attr + flow->ibv_attr_size);
        *udp = (struct ibv_flow_spec_tcp_udp) {
                .type = IBV_FLOW_SPEC_UDP,
-               .size = udp_size,
+               .size = sizeof(*udp),
        };
        if (!spec)
                return 0;
        udp->val.dst_port = spec->hdr.dst_port;
        udp->val.src_port = spec->hdr.src_port;
-       if (!mask)
-               mask = default_mask;
        udp->mask.dst_port = mask->hdr.dst_port;
        udp->mask.src_port = mask->hdr.src_port;
        /* Remove unwanted bits from values. */
        udp->val.src_port &= udp->mask.src_port;
        udp->val.dst_port &= udp->mask.dst_port;
        return 0;
+error:
+       return rte_flow_error_set(error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
+                                 item, msg);
 }
 
 /**
- * Convert TCP item to Verbs specification.
+ * Merge TCP pattern item into flow rule handle.
  *
- * @param item[in]
- *   Item specification.
- * @param default_mask[in]
- *   Default bit-masks to use when item->mask is not provided.
- * @param flow[in, out]
+ * Additional mlx4-specific constraints on supported fields:
+ *
+ * - No support for partial masks.
+ *
+ * @param[in, out] flow
  *   Flow rule handle to update.
+ * @param[in] item
+ *   Pattern item to merge.
+ * @param[in] proc
+ *   Associated item-processing object.
+ * @param[out] error
+ *   Perform verbose error reporting if not NULL.
+ *
+ * @return
+ *   0 on success, a negative errno value otherwise and rte_errno is set.
  */
 static int
-mlx4_flow_create_tcp(const struct rte_flow_item *item,
-                    const void *default_mask,
-                    struct rte_flow *flow)
+mlx4_flow_merge_tcp(struct rte_flow *flow,
+                   const struct rte_flow_item *item,
+                   const struct mlx4_flow_proc_item *proc,
+                   struct rte_flow_error *error)
 {
        const struct rte_flow_item_tcp *spec = item->spec;
-       const struct rte_flow_item_tcp *mask = item->mask;
+       const struct rte_flow_item_tcp *mask =
+               spec ? (item->mask ? item->mask : proc->mask_default) : NULL;
        struct ibv_flow_spec_tcp_udp *tcp;
-       unsigned int tcp_size = sizeof(struct ibv_flow_spec_tcp_udp);
+       const char *msg;
 
+       if (!mask ||
+           ((uint16_t)(mask->hdr.src_port + 1) > UINT16_C(1) ||
+            (uint16_t)(mask->hdr.dst_port + 1) > UINT16_C(1))) {
+               msg = "mlx4 does not support matching partial TCP fields";
+               goto error;
+       }
+       if (!flow->ibv_attr)
+               return 0;
        ++flow->ibv_attr->num_of_specs;
-       flow->ibv_attr->priority = 0;
        tcp = (void *)((uintptr_t)flow->ibv_attr + flow->ibv_attr_size);
        *tcp = (struct ibv_flow_spec_tcp_udp) {
                .type = IBV_FLOW_SPEC_TCP,
-               .size = tcp_size,
+               .size = sizeof(*tcp),
        };
        if (!spec)
                return 0;
        tcp->val.dst_port = spec->hdr.dst_port;
        tcp->val.src_port = spec->hdr.src_port;
-       if (!mask)
-               mask = default_mask;
        tcp->mask.dst_port = mask->hdr.dst_port;
        tcp->mask.src_port = mask->hdr.src_port;
        /* Remove unwanted bits from values. */
        tcp->val.src_port &= tcp->mask.src_port;
        tcp->val.dst_port &= tcp->mask.dst_port;
        return 0;
+error:
+       return rte_flow_error_set(error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
+                                 item, msg);
 }
 
 /**
- * Check support for a given item.
+ * Perform basic sanity checks on a pattern item.
  *
- * @param item[in]
+ * @param[in] item
  *   Item specification.
- * @param mask[in]
- *   Bit-masks covering supported fields to compare with spec, last and mask in
- *   \item.
- * @param size
- *   Bit-Mask size in bytes.
+ * @param[in] proc
+ *   Associated item-processing object.
+ * @param[out] error
+ *   Perform verbose error reporting if not NULL.
  *
  * @return
- *   0 on success, negative value otherwise.
+ *   0 on success, a negative errno value otherwise and rte_errno is set.
  */
 static int
-mlx4_flow_item_validate(const struct rte_flow_item *item,
-                       const uint8_t *mask, unsigned int size)
+mlx4_flow_item_check(const struct rte_flow_item *item,
+                    const struct mlx4_flow_proc_item *proc,
+                    struct rte_flow_error *error)
 {
-       int ret = 0;
+       const uint8_t *mask;
+       unsigned int i;
 
+       /* item->last and item->mask cannot exist without item->spec. */
        if (!item->spec && (item->mask || item->last))
-               return -1;
-       if (item->spec && !item->mask) {
-               unsigned int i;
-               const uint8_t *spec = item->spec;
-
-               for (i = 0; i < size; ++i)
-                       if ((spec[i] | mask[i]) != mask[i])
-                               return -1;
-       }
-       if (item->last && !item->mask) {
-               unsigned int i;
-               const uint8_t *spec = item->last;
-
-               for (i = 0; i < size; ++i)
-                       if ((spec[i] | mask[i]) != mask[i])
-                               return -1;
-       }
-       if (item->spec && item->last) {
-               uint8_t spec[size];
-               uint8_t last[size];
-               const uint8_t *apply = mask;
-               unsigned int i;
-
-               if (item->mask)
-                       apply = item->mask;
-               for (i = 0; i < size; ++i) {
-                       spec[i] = ((const uint8_t *)item->spec)[i] & apply[i];
-                       last[i] = ((const uint8_t *)item->last)[i] & apply[i];
-               }
-               ret = memcmp(spec, last, size);
-       }
-       return ret;
-}
-
-static int
-mlx4_flow_validate_eth(const struct rte_flow_item *item,
-                      const uint8_t *mask, unsigned int size)
-{
-       if (item->mask) {
-               const struct rte_flow_item_eth *mask = item->mask;
-
-               if (mask->dst.addr_bytes[0] != 0xff ||
-                               mask->dst.addr_bytes[1] != 0xff ||
-                               mask->dst.addr_bytes[2] != 0xff ||
-                               mask->dst.addr_bytes[3] != 0xff ||
-                               mask->dst.addr_bytes[4] != 0xff ||
-                               mask->dst.addr_bytes[5] != 0xff)
-                       return -1;
-       }
-       return mlx4_flow_item_validate(item, mask, size);
-}
-
-static int
-mlx4_flow_validate_vlan(const struct rte_flow_item *item,
-                       const uint8_t *mask, unsigned int size)
-{
-       if (item->mask) {
-               const struct rte_flow_item_vlan *mask = item->mask;
-
-               if (mask->tci != 0 &&
-                   ntohs(mask->tci) != 0x0fff)
-                       return -1;
-       }
-       return mlx4_flow_item_validate(item, mask, size);
-}
-
-static int
-mlx4_flow_validate_ipv4(const struct rte_flow_item *item,
-                       const uint8_t *mask, unsigned int size)
-{
-       if (item->mask) {
-               const struct rte_flow_item_ipv4 *mask = item->mask;
-
-               if (mask->hdr.src_addr != 0 &&
-                   mask->hdr.src_addr != 0xffffffff)
-                       return -1;
-               if (mask->hdr.dst_addr != 0 &&
-                   mask->hdr.dst_addr != 0xffffffff)
-                       return -1;
-       }
-       return mlx4_flow_item_validate(item, mask, size);
-}
-
-static int
-mlx4_flow_validate_udp(const struct rte_flow_item *item,
-                      const uint8_t *mask, unsigned int size)
-{
-       if (item->mask) {
-               const struct rte_flow_item_udp *mask = item->mask;
-
-               if (mask->hdr.src_port != 0 &&
-                   mask->hdr.src_port != 0xffff)
-                       return -1;
-               if (mask->hdr.dst_port != 0 &&
-                   mask->hdr.dst_port != 0xffff)
-                       return -1;
-       }
-       return mlx4_flow_item_validate(item, mask, size);
-}
-
-static int
-mlx4_flow_validate_tcp(const struct rte_flow_item *item,
-                      const uint8_t *mask, unsigned int size)
-{
-       if (item->mask) {
-               const struct rte_flow_item_tcp *mask = item->mask;
-
-               if (mask->hdr.src_port != 0 &&
-                   mask->hdr.src_port != 0xffff)
-                       return -1;
-               if (mask->hdr.dst_port != 0 &&
-                   mask->hdr.dst_port != 0xffff)
-                       return -1;
+               return rte_flow_error_set
+                       (error, EINVAL, RTE_FLOW_ERROR_TYPE_ITEM, item,
+                        "\"mask\" or \"last\" field provided without a"
+                        " corresponding \"spec\"");
+       /* No spec, no mask, no problem. */
+       if (!item->spec)
+               return 0;
+       mask = item->mask ?
+               (const uint8_t *)item->mask :
+               (const uint8_t *)proc->mask_default;
+       assert(mask);
+       /*
+        * Single-pass check to make sure that:
+        * - Mask is supported, no bits are set outside proc->mask_support.
+        * - Both item->spec and item->last are included in mask.
+        */
+       for (i = 0; i != proc->mask_sz; ++i) {
+               if (!mask[i])
+                       continue;
+               if ((mask[i] | ((const uint8_t *)proc->mask_support)[i]) !=
+                   ((const uint8_t *)proc->mask_support)[i])
+                       return rte_flow_error_set
+                               (error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
+                                item, "unsupported field found in \"mask\"");
+               if (item->last &&
+                   (((const uint8_t *)item->spec)[i] & mask[i]) !=
+                   (((const uint8_t *)item->last)[i] & mask[i]))
+                       return rte_flow_error_set
+                               (error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
+                                item,
+                                "range between \"spec\" and \"last\""
+                                " is larger than \"mask\"");
        }
-       return mlx4_flow_item_validate(item, mask, size);
+       return 0;
 }
 
 /** Graph of supported items and associated actions. */
@@ -484,66 +558,62 @@ static const struct mlx4_flow_proc_item mlx4_flow_proc_item_list[] = {
        [RTE_FLOW_ITEM_TYPE_ETH] = {
                .next_item = NEXT_ITEM(RTE_FLOW_ITEM_TYPE_VLAN,
                                       RTE_FLOW_ITEM_TYPE_IPV4),
-               .mask = &(const struct rte_flow_item_eth){
+               .mask_support = &(const struct rte_flow_item_eth){
+                       /* Only destination MAC can be matched. */
                        .dst.addr_bytes = "\xff\xff\xff\xff\xff\xff",
-                       .src.addr_bytes = "\xff\xff\xff\xff\xff\xff",
                },
-               .default_mask = &rte_flow_item_eth_mask,
+               .mask_default = &rte_flow_item_eth_mask,
                .mask_sz = sizeof(struct rte_flow_item_eth),
-               .validate = mlx4_flow_validate_eth,
-               .convert = mlx4_flow_create_eth,
+               .merge = mlx4_flow_merge_eth,
                .dst_sz = sizeof(struct ibv_flow_spec_eth),
        },
        [RTE_FLOW_ITEM_TYPE_VLAN] = {
                .next_item = NEXT_ITEM(RTE_FLOW_ITEM_TYPE_IPV4),
-               .mask = &(const struct rte_flow_item_vlan){
+               .mask_support = &(const struct rte_flow_item_vlan){
                        /* Only TCI VID matching is supported. */
                        .tci = RTE_BE16(0x0fff),
                },
+               .mask_default = &rte_flow_item_vlan_mask,
                .mask_sz = sizeof(struct rte_flow_item_vlan),
-               .validate = mlx4_flow_validate_vlan,
-               .convert = mlx4_flow_create_vlan,
+               .merge = mlx4_flow_merge_vlan,
                .dst_sz = 0,
        },
        [RTE_FLOW_ITEM_TYPE_IPV4] = {
                .next_item = NEXT_ITEM(RTE_FLOW_ITEM_TYPE_UDP,
                                       RTE_FLOW_ITEM_TYPE_TCP),
-               .mask = &(const struct rte_flow_item_ipv4){
+               .mask_support = &(const struct rte_flow_item_ipv4){
                        .hdr = {
                                .src_addr = RTE_BE32(0xffffffff),
                                .dst_addr = RTE_BE32(0xffffffff),
                        },
                },
-               .default_mask = &rte_flow_item_ipv4_mask,
+               .mask_default = &rte_flow_item_ipv4_mask,
                .mask_sz = sizeof(struct rte_flow_item_ipv4),
-               .validate = mlx4_flow_validate_ipv4,
-               .convert = mlx4_flow_create_ipv4,
+               .merge = mlx4_flow_merge_ipv4,
                .dst_sz = sizeof(struct ibv_flow_spec_ipv4),
        },
        [RTE_FLOW_ITEM_TYPE_UDP] = {
-               .mask = &(const struct rte_flow_item_udp){
+               .mask_support = &(const struct rte_flow_item_udp){
                        .hdr = {
                                .src_port = RTE_BE16(0xffff),
                                .dst_port = RTE_BE16(0xffff),
                        },
                },
-               .default_mask = &rte_flow_item_udp_mask,
+               .mask_default = &rte_flow_item_udp_mask,
                .mask_sz = sizeof(struct rte_flow_item_udp),
-               .validate = mlx4_flow_validate_udp,
-               .convert = mlx4_flow_create_udp,
+               .merge = mlx4_flow_merge_udp,
                .dst_sz = sizeof(struct ibv_flow_spec_tcp_udp),
        },
        [RTE_FLOW_ITEM_TYPE_TCP] = {
-               .mask = &(const struct rte_flow_item_tcp){
+               .mask_support = &(const struct rte_flow_item_tcp){
                        .hdr = {
                                .src_port = RTE_BE16(0xffff),
                                .dst_port = RTE_BE16(0xffff),
                        },
                },
-               .default_mask = &rte_flow_item_tcp_mask,
+               .mask_default = &rte_flow_item_tcp_mask,
                .mask_sz = sizeof(struct rte_flow_item_tcp),
-               .validate = mlx4_flow_validate_tcp,
-               .convert = mlx4_flow_create_tcp,
+               .merge = mlx4_flow_merge_tcp,
                .dst_sz = sizeof(struct ibv_flow_spec_tcp_udp),
        },
 };
@@ -581,19 +651,12 @@ mlx4_flow_prepare(struct priv *priv,
        const struct mlx4_flow_proc_item *proc;
        struct rte_flow temp = { .ibv_attr_size = sizeof(*temp.ibv_attr) };
        struct rte_flow *flow = &temp;
-       uint32_t priority_override = 0;
+       const char *msg = NULL;
 
        if (attr->group)
                return rte_flow_error_set
                        (error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ATTR_GROUP,
                         NULL, "groups are not supported");
-       if (priv->isolated)
-               priority_override = attr->priority;
-       else if (attr->priority)
-               return rte_flow_error_set
-                       (error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ATTR_PRIORITY,
-                        NULL,
-                        "priorities are not supported outside isolated mode");
        if (attr->priority > MLX4_FLOW_PRIORITY_LAST)
                return rte_flow_error_set
                        (error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ATTR_PRIORITY,
@@ -621,18 +684,11 @@ fill:
                        flow->internal = 1;
                        continue;
                }
-               /*
-                * The nic can support patterns with NULL eth spec only
-                * if eth is a single item in a rule.
-                */
-               if (!item->spec && item->type == RTE_FLOW_ITEM_TYPE_ETH) {
-                       const struct rte_flow_item *next = item + 1;
-
-                       if (next->type)
-                               return rte_flow_error_set
-                                       (error, ENOTSUP,
-                                        RTE_FLOW_ERROR_TYPE_ITEM, item,
-                                        "the rule requires an Ethernet spec");
+               if (flow->promisc || flow->allmulti) {
+                       msg = "mlx4 does not support additional matching"
+                               " criteria combined with indiscriminate"
+                               " matching on Ethernet headers";
+                       goto exit_item_not_supported;
                }
                for (i = 0; proc->next_item && proc->next_item[i]; ++i) {
                        if (proc->next_item[i] == item->type) {
@@ -643,29 +699,29 @@ fill:
                if (!next)
                        goto exit_item_not_supported;
                proc = next;
-               /* Perform validation once, while handle is not allocated. */
+               /*
+                * Perform basic sanity checks only once, while handle is
+                * not allocated.
+                */
                if (flow == &temp) {
-                       err = proc->validate(item, proc->mask, proc->mask_sz);
+                       err = mlx4_flow_item_check(item, proc, error);
                        if (err)
-                               goto exit_item_not_supported;
-               } else if (proc->convert) {
-                       err = proc->convert(item,
-                                           (proc->default_mask ?
-                                            proc->default_mask :
-                                            proc->mask),
-                                           flow);
+                               return err;
+               }
+               if (proc->merge) {
+                       err = proc->merge(flow, item, proc, error);
                        if (err)
-                               goto exit_item_not_supported;
+                               return err;
                }
                flow->ibv_attr_size += proc->dst_sz;
        }
-       /* Use specified priority level when in isolated mode. */
-       if (priv->isolated && flow != &temp)
-               flow->ibv_attr->priority = priority_override;
        /* Go over actions list. */
        for (action = actions; action->type; ++action) {
                switch (action->type) {
                        const struct rte_flow_action_queue *queue;
+                       const struct rte_flow_action_rss *rss;
+                       const struct rte_eth_rss_conf *rss_conf;
+                       unsigned int i;
 
                case RTE_FLOW_ACTION_TYPE_VOID:
                        continue;
@@ -673,23 +729,87 @@ fill:
                        flow->drop = 1;
                        break;
                case RTE_FLOW_ACTION_TYPE_QUEUE:
+                       if (flow->rss)
+                               break;
                        queue = action->conf;
-                       if (queue->index >= priv->dev->data->nb_rx_queues)
+                       flow->rss = mlx4_rss_get
+                               (priv, 0, mlx4_rss_hash_key_default, 1,
+                                &queue->index);
+                       if (!flow->rss) {
+                               msg = "not enough resources for additional"
+                                       " single-queue RSS context";
                                goto exit_action_not_supported;
-                       flow->queue = 1;
-                       flow->queue_id = queue->index;
+                       }
+                       break;
+               case RTE_FLOW_ACTION_TYPE_RSS:
+                       if (flow->rss)
+                               break;
+                       rss = action->conf;
+                       /* Default RSS configuration if none is provided. */
+                       rss_conf =
+                               rss->rss_conf ?
+                               rss->rss_conf :
+                               &(struct rte_eth_rss_conf){
+                                       .rss_key = mlx4_rss_hash_key_default,
+                                       .rss_key_len = MLX4_RSS_HASH_KEY_SIZE,
+                                       .rss_hf = (ETH_RSS_IPV4 |
+                                                  ETH_RSS_NONFRAG_IPV4_UDP |
+                                                  ETH_RSS_NONFRAG_IPV4_TCP |
+                                                  ETH_RSS_IPV6 |
+                                                  ETH_RSS_NONFRAG_IPV6_UDP |
+                                                  ETH_RSS_NONFRAG_IPV6_TCP),
+                               };
+                       /* Sanity checks. */
+                       if (!rte_is_power_of_2(rss->num)) {
+                               msg = "for RSS, mlx4 requires the number of"
+                                       " queues to be a power of two";
+                               goto exit_action_not_supported;
+                       }
+                       if (rss_conf->rss_key_len !=
+                           sizeof(flow->rss->key)) {
+                               msg = "mlx4 supports exactly one RSS hash key"
+                                       " length: "
+                                       MLX4_STR_EXPAND(MLX4_RSS_HASH_KEY_SIZE);
+                               goto exit_action_not_supported;
+                       }
+                       for (i = 1; i < rss->num; ++i)
+                               if (rss->queue[i] - rss->queue[i - 1] != 1)
+                                       break;
+                       if (i != rss->num) {
+                               msg = "mlx4 requires RSS contexts to use"
+                                       " consecutive queue indices only";
+                               goto exit_action_not_supported;
+                       }
+                       if (rss->queue[0] % rss->num) {
+                               msg = "mlx4 requires the first queue of a RSS"
+                                       " context to be aligned on a multiple"
+                                       " of the context size";
+                               goto exit_action_not_supported;
+                       }
+                       flow->rss = mlx4_rss_get
+                               (priv, mlx4_conv_rss_hf(rss_conf->rss_hf),
+                                rss_conf->rss_key, rss->num, rss->queue);
+                       if (!flow->rss) {
+                               msg = "either invalid parameters or not enough"
+                                       " resources for additional multi-queue"
+                                       " RSS context";
+                               goto exit_action_not_supported;
+                       }
                        break;
                default:
                        goto exit_action_not_supported;
                }
        }
-       if (!flow->queue && !flow->drop)
+       if (!flow->rss && !flow->drop)
                return rte_flow_error_set
                        (error, ENOTSUP, RTE_FLOW_ERROR_TYPE_UNSPECIFIED,
                         NULL, "no valid action");
        /* Validation ends here. */
-       if (!addr)
+       if (!addr) {
+               if (flow->rss)
+                       mlx4_rss_put(flow->rss);
                return 0;
+       }
        if (flow == &temp) {
                /* Allocate proper handle based on collected data. */
                const struct mlx4_malloc_vec vec[] = {
@@ -714,10 +834,12 @@ fill:
                *flow = (struct rte_flow){
                        .ibv_attr = temp.ibv_attr,
                        .ibv_attr_size = sizeof(*flow->ibv_attr),
+                       .rss = temp.rss,
                };
                *flow->ibv_attr = (struct ibv_flow_attr){
                        .type = IBV_FLOW_ATTR_NORMAL,
                        .size = sizeof(*flow->ibv_attr),
+                       .priority = attr->priority,
                        .port = priv->port,
                };
                goto fill;
@@ -726,10 +848,10 @@ fill:
        return 0;
 exit_item_not_supported:
        return rte_flow_error_set(error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ITEM,
-                                 item, "item not supported");
+                                 item, msg ? msg : "item not supported");
 exit_action_not_supported:
        return rte_flow_error_set(error, ENOTSUP, RTE_FLOW_ERROR_TYPE_ACTION,
-                                 action, "action not supported");
+                                 action, msg ? msg : "action not supported");
 }
 
 /**
@@ -852,26 +974,64 @@ mlx4_flow_toggle(struct priv *priv,
                flow->ibv_flow = NULL;
                if (flow->drop)
                        mlx4_drop_put(priv->drop);
+               else if (flow->rss)
+                       mlx4_rss_detach(flow->rss);
                return 0;
        }
-       if (flow->queue) {
-               struct rxq *rxq = NULL;
+       assert(flow->ibv_attr);
+       if (!flow->internal &&
+           !priv->isolated &&
+           flow->ibv_attr->priority == MLX4_FLOW_PRIORITY_LAST) {
+               if (flow->ibv_flow) {
+                       claim_zero(ibv_destroy_flow(flow->ibv_flow));
+                       flow->ibv_flow = NULL;
+                       if (flow->drop)
+                               mlx4_drop_put(priv->drop);
+                       else if (flow->rss)
+                               mlx4_rss_detach(flow->rss);
+               }
+               err = EACCES;
+               msg = ("priority level "
+                      MLX4_STR_EXPAND(MLX4_FLOW_PRIORITY_LAST)
+                      " is reserved when not in isolated mode");
+               goto error;
+       }
+       if (flow->rss) {
+               struct mlx4_rss *rss = flow->rss;
+               int missing = 0;
+               unsigned int i;
 
-               if (flow->queue_id < priv->dev->data->nb_rx_queues)
-                       rxq = priv->dev->data->rx_queues[flow->queue_id];
+               /* Stop at the first nonexistent target queue. */
+               for (i = 0; i != rss->queues; ++i)
+                       if (rss->queue_id[i] >=
+                           priv->dev->data->nb_rx_queues ||
+                           !priv->dev->data->rx_queues[rss->queue_id[i]]) {
+                               missing = 1;
+                               break;
+                       }
                if (flow->ibv_flow) {
-                       if (!rxq ^ !flow->drop)
+                       if (missing ^ !flow->drop)
                                return 0;
                        /* Verbs flow needs updating. */
                        claim_zero(ibv_destroy_flow(flow->ibv_flow));
                        flow->ibv_flow = NULL;
                        if (flow->drop)
                                mlx4_drop_put(priv->drop);
+                       else
+                               mlx4_rss_detach(rss);
+               }
+               if (!missing) {
+                       err = mlx4_rss_attach(rss);
+                       if (err) {
+                               err = -err;
+                               msg = "cannot create indirection table or hash"
+                                       " QP to associate flow rule with";
+                               goto error;
+                       }
+                       qp = rss->qp;
                }
-               if (rxq)
-                       qp = rxq->qp;
                /* A missing target queue drops traffic implicitly. */
-               flow->drop = !rxq;
+               flow->drop = missing;
        }
        if (flow->drop) {
                mlx4_drop_get(priv);
@@ -883,7 +1043,6 @@ mlx4_flow_toggle(struct priv *priv,
                qp = priv->drop->qp;
        }
        assert(qp);
-       assert(flow->ibv_attr);
        if (flow->ibv_flow)
                return 0;
        flow->ibv_flow = ibv_create_flow(qp, flow->ibv_attr);
@@ -891,6 +1050,8 @@ mlx4_flow_toggle(struct priv *priv,
                return 0;
        if (flow->drop)
                mlx4_drop_put(priv->drop);
+       else if (flow->rss)
+               mlx4_rss_detach(flow->rss);
        err = errno;
        msg = "flow rule rejected by device";
 error:
@@ -933,6 +1094,8 @@ mlx4_flow_create(struct rte_eth_dev *dev,
                }
                return flow;
        }
+       if (flow->rss)
+               mlx4_rss_put(flow->rss);
        rte_flow_error_set(error, -err, RTE_FLOW_ERROR_TYPE_UNSPECIFIED, NULL,
                           error->message);
        rte_free(flow);
@@ -955,14 +1118,9 @@ mlx4_flow_isolate(struct rte_eth_dev *dev,
        if (!!enable == !!priv->isolated)
                return 0;
        priv->isolated = !!enable;
-       if (mlx4_flow_sync(priv)) {
+       if (mlx4_flow_sync(priv, error)) {
                priv->isolated = !enable;
-               return rte_flow_error_set(error, rte_errno,
-                                         RTE_FLOW_ERROR_TYPE_UNSPECIFIED,
-                                         NULL,
-                                         enable ?
-                                         "cannot enter isolated mode" :
-                                         "cannot leave isolated mode");
+               return -rte_errno;
        }
        return 0;
 }
@@ -984,6 +1142,8 @@ mlx4_flow_destroy(struct rte_eth_dev *dev,
        if (err)
                return err;
        LIST_REMOVE(flow, next);
+       if (flow->rss)
+               mlx4_rss_put(flow->rss);
        rte_free(flow);
        return 0;
 }
@@ -1013,9 +1173,46 @@ mlx4_flow_flush(struct rte_eth_dev *dev,
        return 0;
 }
 
+/**
+ * Helper function to determine the next configured VLAN filter.
+ *
+ * @param priv
+ *   Pointer to private structure.
+ * @param vlan
+ *   VLAN ID to use as a starting point.
+ *
+ * @return
+ *   Next configured VLAN ID or a high value (>= 4096) if there is none.
+ */
+static uint16_t
+mlx4_flow_internal_next_vlan(struct priv *priv, uint16_t vlan)
+{
+       while (vlan < 4096) {
+               if (priv->dev->data->vlan_filter_conf.ids[vlan / 64] &
+                   (UINT64_C(1) << (vlan % 64)))
+                       return vlan;
+               ++vlan;
+       }
+       return vlan;
+}
+
 /**
  * Generate internal flow rules.
  *
+ * Various flow rules are created depending on the mode the device is in:
+ *
+ * 1. Promiscuous: port MAC + catch-all (VLAN filtering is ignored).
+ * 2. All multicast: port MAC/VLAN + catch-all multicast.
+ * 3. Otherwise: port MAC/VLAN + broadcast MAC/VLAN.
+ *
+ * About MAC flow rules:
+ *
+ * - MAC flow rules are generated from @p dev->data->mac_addrs
+ *   (@p priv->mac array).
+ * - An additional flow rule for Ethernet broadcasts is also generated.
+ * - All these are per-VLAN if @p dev->data->dev_conf.rxmode.hw_vlan_filter
+ *   is enabled and VLAN filters are configured.
+ *
  * @param priv
  *   Pointer to private structure.
  * @param[out] error
@@ -1028,20 +1225,32 @@ static int
 mlx4_flow_internal(struct priv *priv, struct rte_flow_error *error)
 {
        struct rte_flow_attr attr = {
+               .priority = MLX4_FLOW_PRIORITY_LAST,
                .ingress = 1,
        };
+       struct rte_flow_item_eth eth_spec;
+       const struct rte_flow_item_eth eth_mask = {
+               .dst.addr_bytes = "\xff\xff\xff\xff\xff\xff",
+       };
+       const struct rte_flow_item_eth eth_allmulti = {
+               .dst.addr_bytes = "\x01\x00\x00\x00\x00\x00",
+       };
+       struct rte_flow_item_vlan vlan_spec;
+       const struct rte_flow_item_vlan vlan_mask = {
+               .tci = RTE_BE16(0x0fff),
+       };
        struct rte_flow_item pattern[] = {
                {
                        .type = MLX4_FLOW_ITEM_TYPE_INTERNAL,
                },
                {
                        .type = RTE_FLOW_ITEM_TYPE_ETH,
-                       .spec = &(struct rte_flow_item_eth){
-                               .dst = priv->mac,
-                       },
-                       .mask = &(struct rte_flow_item_eth){
-                               .dst.addr_bytes = "\xff\xff\xff\xff\xff\xff",
-                       },
+                       .spec = &eth_spec,
+                       .mask = &eth_mask,
+               },
+               {
+                       /* Replaced with VLAN if filtering is enabled. */
+                       .type = RTE_FLOW_ITEM_TYPE_END,
                },
                {
                        .type = RTE_FLOW_ITEM_TYPE_END,
@@ -1058,10 +1267,143 @@ mlx4_flow_internal(struct priv *priv, struct rte_flow_error *error)
                        .type = RTE_FLOW_ACTION_TYPE_END,
                },
        };
+       struct ether_addr *rule_mac = &eth_spec.dst;
+       rte_be16_t *rule_vlan =
+               priv->dev->data->dev_conf.rxmode.hw_vlan_filter &&
+               !priv->dev->data->promiscuous ?
+               &vlan_spec.tci :
+               NULL;
+       int broadcast =
+               !priv->dev->data->promiscuous &&
+               !priv->dev->data->all_multicast;
+       uint16_t vlan = 0;
+       struct rte_flow *flow;
+       unsigned int i;
+       int err = 0;
 
-       if (!mlx4_flow_create(priv->dev, &attr, pattern, actions, error))
-               return -rte_errno;
-       return 0;
+       /*
+        * Set up VLAN item if filtering is enabled and at least one VLAN
+        * filter is configured.
+        */
+       if (rule_vlan) {
+               vlan = mlx4_flow_internal_next_vlan(priv, 0);
+               if (vlan < 4096) {
+                       pattern[2] = (struct rte_flow_item){
+                               .type = RTE_FLOW_ITEM_TYPE_VLAN,
+                               .spec = &vlan_spec,
+                               .mask = &vlan_mask,
+                       };
+next_vlan:
+                       *rule_vlan = rte_cpu_to_be_16(vlan);
+               } else {
+                       rule_vlan = NULL;
+               }
+       }
+       for (i = 0; i != RTE_DIM(priv->mac) + broadcast; ++i) {
+               const struct ether_addr *mac;
+
+               /* Broadcasts are handled by an extra iteration. */
+               if (i < RTE_DIM(priv->mac))
+                       mac = &priv->mac[i];
+               else
+                       mac = &eth_mask.dst;
+               if (is_zero_ether_addr(mac))
+                       continue;
+               /* Check if MAC flow rule is already present. */
+               for (flow = LIST_FIRST(&priv->flows);
+                    flow && flow->internal;
+                    flow = LIST_NEXT(flow, next)) {
+                       const struct ibv_flow_spec_eth *eth =
+                               (const void *)((uintptr_t)flow->ibv_attr +
+                                              sizeof(*flow->ibv_attr));
+                       unsigned int j;
+
+                       if (!flow->mac)
+                               continue;
+                       assert(flow->ibv_attr->type == IBV_FLOW_ATTR_NORMAL);
+                       assert(flow->ibv_attr->num_of_specs == 1);
+                       assert(eth->type == IBV_FLOW_SPEC_ETH);
+                       if (rule_vlan &&
+                           (eth->val.vlan_tag != *rule_vlan ||
+                            eth->mask.vlan_tag != RTE_BE16(0x0fff)))
+                               continue;
+                       if (!rule_vlan && eth->mask.vlan_tag)
+                               continue;
+                       for (j = 0; j != sizeof(mac->addr_bytes); ++j)
+                               if (eth->val.dst_mac[j] != mac->addr_bytes[j] ||
+                                   eth->mask.dst_mac[j] != UINT8_C(0xff) ||
+                                   eth->val.src_mac[j] != UINT8_C(0x00) ||
+                                   eth->mask.src_mac[j] != UINT8_C(0x00))
+                                       break;
+                       if (j == sizeof(mac->addr_bytes))
+                               break;
+               }
+               if (!flow || !flow->internal) {
+                       /* Not found, create a new flow rule. */
+                       memcpy(rule_mac, mac, sizeof(*mac));
+                       flow = mlx4_flow_create(priv->dev, &attr, pattern,
+                                               actions, error);
+                       if (!flow) {
+                               err = -rte_errno;
+                               goto error;
+                       }
+               }
+               flow->select = 1;
+               flow->mac = 1;
+       }
+       if (rule_vlan) {
+               vlan = mlx4_flow_internal_next_vlan(priv, vlan + 1);
+               if (vlan < 4096)
+                       goto next_vlan;
+       }
+       /* Take care of promiscuous and all multicast flow rules. */
+       if (!broadcast) {
+               for (flow = LIST_FIRST(&priv->flows);
+                    flow && flow->internal;
+                    flow = LIST_NEXT(flow, next)) {
+                       if (priv->dev->data->promiscuous) {
+                               if (flow->promisc)
+                                       break;
+                       } else {
+                               assert(priv->dev->data->all_multicast);
+                               if (flow->allmulti)
+                                       break;
+                       }
+               }
+               if (!flow || !flow->internal) {
+                       /* Not found, create a new flow rule. */
+                       if (priv->dev->data->promiscuous) {
+                               pattern[1].spec = NULL;
+                               pattern[1].mask = NULL;
+                       } else {
+                               assert(priv->dev->data->all_multicast);
+                               pattern[1].spec = &eth_allmulti;
+                               pattern[1].mask = &eth_allmulti;
+                       }
+                       pattern[2] = pattern[3];
+                       flow = mlx4_flow_create(priv->dev, &attr, pattern,
+                                               actions, error);
+                       if (!flow) {
+                               err = -rte_errno;
+                               goto error;
+                       }
+               }
+               assert(flow->promisc || flow->allmulti);
+               flow->select = 1;
+       }
+error:
+       /* Clear selection and clean up stale internal flow rules. */
+       flow = LIST_FIRST(&priv->flows);
+       while (flow && flow->internal) {
+               struct rte_flow *next = LIST_NEXT(flow, next);
+
+               if (!flow->select)
+                       claim_zero(mlx4_flow_destroy(priv->dev, flow, error));
+               else
+                       flow->select = 0;
+               flow = next;
+       }
+       return err;
 }
 
 /**
@@ -1073,12 +1415,14 @@ mlx4_flow_internal(struct priv *priv, struct rte_flow_error *error)
  *
  * @param priv
  *   Pointer to private structure.
+ * @param[out] error
+ *   Perform verbose error reporting if not NULL.
  *
  * @return
  *   0 on success, a negative errno value otherwise and rte_errno is set.
  */
 int
-mlx4_flow_sync(struct priv *priv)
+mlx4_flow_sync(struct priv *priv, struct rte_flow_error *error)
 {
        struct rte_flow *flow;
        int ret;
@@ -1092,20 +1436,23 @@ mlx4_flow_sync(struct priv *priv)
                for (flow = LIST_FIRST(&priv->flows);
                     flow && flow->internal;
                     flow = LIST_FIRST(&priv->flows))
-                       claim_zero(mlx4_flow_destroy(priv->dev, flow, NULL));
-       } else if (!LIST_FIRST(&priv->flows) ||
-                  !LIST_FIRST(&priv->flows)->internal) {
-               /*
-                * If the first rule is not internal outside isolated mode,
-                * they must be added back.
-                */
-               ret = mlx4_flow_internal(priv, NULL);
+                       claim_zero(mlx4_flow_destroy(priv->dev, flow, error));
+       } else {
+               /* Refresh internal rules. */
+               ret = mlx4_flow_internal(priv, error);
                if (ret)
                        return ret;
        }
-       if (priv->started)
-               return mlx4_flow_start(priv);
-       mlx4_flow_stop(priv);
+       /* Toggle the remaining flow rules . */
+       for (flow = LIST_FIRST(&priv->flows);
+            flow;
+            flow = LIST_NEXT(flow, next)) {
+               ret = mlx4_flow_toggle(priv, flow, priv->started, error);
+               if (ret)
+                       return ret;
+       }
+       if (!priv->started)
+               assert(!priv->drop);
        return 0;
 }
 
@@ -1125,52 +1472,7 @@ mlx4_flow_clean(struct priv *priv)
 
        while ((flow = LIST_FIRST(&priv->flows)))
                mlx4_flow_destroy(priv->dev, flow, NULL);
-}
-
-/**
- * Disable flow rules.
- *
- * @param priv
- *   Pointer to private structure.
- */
-void
-mlx4_flow_stop(struct priv *priv)
-{
-       struct rte_flow *flow;
-
-       for (flow = LIST_FIRST(&priv->flows);
-            flow;
-            flow = LIST_NEXT(flow, next)) {
-               claim_zero(mlx4_flow_toggle(priv, flow, 0, NULL));
-       }
-       assert(!priv->drop);
-}
-
-/**
- * Enable flow rules.
- *
- * @param priv
- *   Pointer to private structure.
- *
- * @return
- *   0 on success, a negative errno value otherwise and rte_errno is set.
- */
-int
-mlx4_flow_start(struct priv *priv)
-{
-       int ret;
-       struct rte_flow *flow;
-
-       for (flow = LIST_FIRST(&priv->flows);
-            flow;
-            flow = LIST_NEXT(flow, next)) {
-               ret = mlx4_flow_toggle(priv, flow, 1, NULL);
-               if (unlikely(ret)) {
-                       mlx4_flow_stop(priv);
-                       return ret;
-               }
-       }
-       return 0;
+       assert(LIST_EMPTY(&priv->rss));
 }
 
 static const struct rte_flow_ops mlx4_flow_ops = {